My watch list
my.chemeurope.com  
Login  

Extreme ultraviolet lithography



Extreme ultraviolet lithography (also known as EUV or EUVL) is a next-generation lithography technology using the 13.5 nm wavelength. EUV is a significant departure from the deep ultraviolet lithography used today. All matter absorbs EUV radiation. Hence, EUV lithography needs to take place in a vacuum. All the optical elements, including the photomask, must make use of defect-free Mo/Si multilayers which act to reflect light by means of interlayer interference; any one of these mirrors will absorb around 30% of the incident light. This limitation can be avoided in maskless interference lithography systems. However, the latter tools are restricted to producing periodic patterns only.

Contents

EUV tools

The pre-production EUV systems being built to date are expected to contain at least two condenser multilayer mirrors, six projection multilayer mirrors, and a multilayer object (mask)[1]. Since the optics already absorbs 96% of the available EUV light, the ideal EUV source will need to be sufficiently bright. EUV source development has focused on plasmas generated by laser or discharge pulses. The mirror responsible for collecting the light is directly exposed to the plasma and is therefore vulnerable to damage from the high-energy ions[2] [3] and other debris[4]. This damage associated with the high-energy process of generating EUV radiation has precluded the successful implementation of practical EUV light sources for lithography.

The wafer throughput of an EUV exposure tool is a critical metric for manufacturing capacity. Given that EUV is a technology requiring high vacuum, the throughput is limited mainly by the transfer of wafers into and out of the tool chamber, to a few wafers per hour.[5]

Another aspect of the pre-production EUV tools is the off-axis illumination (at an angle of 6 degrees)[6] on a multilayer mask. The resulting asymmetry in the diffraction pattern causes shadowing effects which degrade the pattern fidelity.[7]

EUV absorption in matter

When an EUV photon is absorbed, photoelectrons and secondary electrons are generated by ionization, much like what happens when X-rays or electron beams are absorbed by matter[8]. These secondary electrons have energies of a few to tens of eV and travel tens of nanometers inside photoresist[9] before initiating the desired chemical reaction. A contributing factor for this rather large distance is the fact that polymers have significant amounts of free volume[10]. In a recent actual EUV print test,[11] it was found that 30 nm spaces could not be resolved, even though the optical resolution and the photoresist composition were not the limiting factor.

The response of matter to EUV radiation can be captured in the following equation:

EUV photon energy = 92 eV = Electron binding energy + kinetic energy of the emitted photoelectron

where the electron binding energy is typically 7-9 eV for organic materials and 4-5 eV for metals. The photoelectron subsequently causes the emission of secondary electrons through the process of impact ionization. Sometimes, an Auger transition is also possible, resulting in the emission of two electrons with the absorption of a single photon.

Strictly speaking, photoelectrons, Auger electrons and secondary electrons are all accompanied by positively charged holes (ions which can be neutralized by pulling electrons from nearby molecules) in order to preserve charge neutrality. An electron-hole pair is often referred to as an exciton. For highly energetic electrons, the electron-hole separation can be quite large and the binding energy is correspondingly low, but at lower energy, the electron and hole can be closer to each other. As the name implies, an exciton is an excited state; only when it disappears as the electron and hole recombine, can stable chemical reaction products form.

EUV photoresist images often require resist thicknesses roughly equal to the pitch[12]. This is not only due to EUV absorption causing less light to reach the bottom of the resist but also to forward scattering from the secondary electrons (similar to low-energy electron beam lithography).

Since the photon absorption depth exceeds the electron escape depth, as the released electrons eventually slow down,they dissipate their energy ultimately as heat.

EUV Damage

Like other forms of ionizing radiation, EUV and EUV-generated electrons are a likely source of device damage. Damage may result from oxide desorption[13] or trapped charge following ionization[14]. Damage may also occur through indefinite positive charging by the Malter effect. If free electrons cannot return to neutralize the net positive charge, positive ion desorption[15] is the only way to restore neutrality. However, desorption essentially means the photoresist is degraded during exposure, and furthermore, the desorbed atoms contaminate the optics.

EUV Defects

EUVL faces specific defect issues analogous to those being encountered by immersion lithography. Whereas the immersion-specific defects are due to unoptimized contact between the water and the photoresist, EUV-related defects are attributed to the inherently ionizing energy of EUV radiation. The first issue is positive charging, due to ejection of photoelectrons[16] freed from the top resist surface by the EUV radiation. This could lead to electrostatic discharge or particle contamination as well as the device damage mentioned above. A second issue is contamination deposition on the resist from ambient or outgassed hydrocarbons, which results from EUV- or electron-driven reactions[17]. A third issue is etching of the resist by oxygen[18], argon or other ambient gases, which have been dissociated by the EUV radiation or the electrons generated by EUV. Ambient gases in the lithography chamber may be used for purging and contamination reduction. These gases are ionized by EUV radiation, leading to plasma generation in the vicinity of exposed surfaces, resulting in damage to the multilayer optics and inadvertent exposure of the sample[19].

Unexpected Resolution Limits

Given that EUV is a significant reduction in wavelength compared to current lithography wavelengths, one would expect significantly better resolution. However, the resolution is ultimately determined by the interaction volume in the image recording medium, i.e., the photoresist. As noted above, the low energy electrons released by EUV could blur the original EUV image. In addition, there are statistical effects, especially for feature areas less than 1500 square nanometers. The required dose sensitivity of 5 mJ/cm2 implies only several thousand EUV photons or so accumulate in such a small area. With the natural Poisson distribution due to the random arrival times of the photons, there is an expected natural dose variation of at least a few percent 3 sigma, making the exposure process fundamentally uncontrollable for features less than about 40 nm.

EUV Development: Forever Delayed?

EUV has been the subject of ongoing research and development by many groups. Given that it is still under development in key areas such as light source, photoresists, and defect inspection, and that other areas such as EUV interaction with matter require further study, it is unlikely to be implemented in manufacturing in time to displace 193 nm immersion lithography. The difficulties faced stem from the dramatically higher energy of the EUV photon (92 eV for EUV light vs. 6.4 eV for 193 nm light), which underlies the difficulty of damage-free generation and control of EUV light and confining the energy absorption within materials. It is also fundamentally impossible for EUV with low resolution enhancement and single patterning to compete with the larger depth of focus from the more established approach of using the 193 nm wavelength with strong resolution enhancement and double patterning. There is a growing realization that the resolution capabilities of the EUV wavelength are being countered by the effects of electrons released after absorption.[20] Finally, the throughput of EUV lithography is ultimately limited by the time to transfer wafers into and out of vacuum.

References

  1. ^ F. T. Chen, Proc. SPIE 5037, pp. 347-357 (2003).
  2. ^ H. Komori et al., Proc. SPIE 5374, pp. 839-846 (2004).
  3. ^ B. A. M. Hansson et al., Proc. SPIE 4688, pp. 102-109 (2002).
  4. ^ S. N. Srivastava et al., J. Appl. Phys. 102, 023301 (2007).
  5. ^ A. Brunton et al., Proc. SPIE 5448, pp. 681-692 (2004).
  6. ^ L. Peters, "Double Patterning Leads Race for 32 nm," Semiconductor International, October 18, 2007.
  7. ^ M. Sugawara et al., J. Vac. Sci. Tech. B 21, 2701 (2003).
  8. ^ B. L . Henke et al., J. Appl. Phys. 48, pp. 1852-1866 (1977).
  9. ^ D. J. D. Carter et al., J. Vac. Sci. & Tech. B 15, pp. 2509-2513 (1997).
  10. ^ N. Shimizu and H. Sato, 1996 IEEE Annual Report - Conference on Electrical Insulation and Dielectric Phenomena, pp. 787-790 (1996)
  11. ^ Y. Ekinci et al., Microelectronic Engineering, vol. 84, pp. 700-704 (2007).
  12. ^ H. H. Solak et al., Microel. Eng. 67-68, pp. 56-62 (2003).
  13. ^ D. Ercolani et al., Adv. Funct. Mater. 15, pp. 587-592 (2005).
  14. ^ D. J. DiMaria et al., J. Appl. Phys. 73, pp. 3367-3384 (1993).
  15. ^ H. Akazawa, J. Vac. Sci. & Tech. A 16, pp. 3455-3459 (1998).
  16. ^ N. Koch et al., Thin Solid Films 391, pp. 81-87 (2001).
  17. ^ J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 118-130 (2006).
  18. ^ J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 64-82 (2006).
  19. ^ M. H. L. van der Velden et al., J. Appl. Phys. 100, 073303 (2006).
  20. ^ R. P. Meagley et al., U. S. Patent 7,235,344, assigned to Intel Corporation.


 
This article is licensed under the GNU Free Documentation License. It uses material from the Wikipedia article "Extreme_ultraviolet_lithography". A list of authors is available in Wikipedia.
Your browser is not current. Microsoft Internet Explorer 6.0 does not support some functions on Chemie.DE