My watch list
my.chemeurope.com  
Login  

Immersion lithography



  Immersion lithography is a photolithography resolution enhancement technique that replaces the usual air gap between the final lens and the wafer surface with a liquid medium that has a refractive index greater than one. The resolution is increased by a factor equal to the refractive index of the liquid. Current immersion lithography tools use highly purified water for this liquid, achieving feature sizes below 37 nanometers.

Contents

Benefits of immersion lithography

The lenses in the highest resolution "dry" photolithography scanners to date bend light rays through a range of angles spanning nearly 90 degrees (this angle range is known as numerical aperture). As it is impossible to increase resolution by further bending, a "last-minute" wavelength reduction is possible by inserting an immersion medium between the lens and the wafer. The wavelength is reduced by a factor equal to the refractive index of the medium. For example, for water at 193 nm wavelength, the index is 1.44.

The resolution enhancement from immersion lithography is therefore about 30-40% (depending on materials used), or about one technology node. The depth of focus, or tolerance in wafer topography flatness, is also 40-70% better (proportional to the refractive index of the imaging medium considered) than a corresponding "dry" tool at the same resolution.

The successful emergence of immersion lithography comes not just from its ability to extend resolution and depth of focus, but also from its timely introduction to the industry between 65 nm and 45 nm nodes. Without immersion lithography, the semiconductor industry would have had to pursue the 45 nm node lithography using special techniques such as double patterning on dry lithography tools. Since dry optical resolution is already limited, the ability to control smaller feature sizes is more difficult. Mask costs and yield loss are naturally higher.

Manufacturing issues

The main obstacle to adoption of immersion lithography systems has been defects and other possible sources of yield loss. Early studies focused on the elimination of bubbles in the immersion fluid, temperature and pressure variations in the immersion fluid, and immersion fluid absorption by the photoresist [1]. Degassing the fluid, carefully constraining the fluid thermodynamics and carefully treating the top layer of photoresist have been key to the implementation of immersion lithography. Defects intrinsic to immersion lithography have been identified [2]. Reducing particle generation due to the water dispensing unit was found to reduce the incidence of defects. Water also has been shown to extract acid from photoresist [3]. Specifically, photoacid generators (PAGs) are extracted into the water, which produce acid upon radiation exposure. This must be managed to ensure the lens is not corroded by the acid or contaminated by the extracted agents, and the photoresist is not chemically altered to the point of being defective. Still, since diffusion of contaminants is expected to be much slower in water than in air or vacuum, consideration of optics contamination actually favors immersion lithography. Water-soaked photoresist also has been demonstrated to produce very satisfactory images[4].

The above defect concerns have led to considerations of using a topcoat layer directly on top of the photoresist. This topcoat would serve as a barrier for chemical diffusion between the liquid medium and the photoresist. In addition, the interface between the liquid and the topcoat would be optimized for watermark reduction. At the same time, defects from topcoat use should be avoided.

As scanning speeds typically approach 500 mm/s for high-volume manufacturing, the actual resist-water contact time in any given exposure area is minimal. Hence the main concerns for defects are water left behind (watermarks) and loss of resist-water adhesion (air gap). The hydrophobicity of the surface and the water delivery/removal method are therefore the key areas to address. Other areas where defects may be enhanced are at the wafer edge, where the water has to do an "about-face" (reverse motion). It is important for the water not to pick up defects from the wafer backside.

Generally, implementation into manufacturing is only considered when defect yields reach a mature level, e.g., comparable to dry lithography levels.

Future of immersion lithography

As of 2007, many companies, including IBM, UMC, Toshiba, and TI are ramping for the 45 nm node using immersion lithography. AMD will be using immersion lithography for its 45 nm node technology in 2008. For the 32 nm node in 2009, Intel will begin using immersion lithography as well (source). Since Intel used 193 nm dry lithography for critical layers for 3 nodes (90 nm, 65 nm, and 45 nm), it is expected that it will use 193 nm immersion lithography for critical layers for the following 3 nodes (32 nm, 22 nm, and 16 nm).[5] IBM has also stated that it will be using immersion lithograpy for the 22 nm node, since no other alternative is available at this time (source).

Enhancements necessary to extend the technology beyond the 32 nm node are currently being investigated. Such enhancements include the use of higher refractive-index materials in the final lens, immersion fluid, and photoresist, in order to improve the resolution with single patterning. Currently, the most promising high-index lens material is lutetium aluminum garnet, with a refractive index of 2.14. High-index immersion fluids are approaching refractive index values of 1.7. These new developments allow the optical resolution to approach ~30 nm. However, it is expected that at some point below 40 nm, current photoresists will limit further scaling.[6] Polarization effects due to high angles of interference in the photoresist also have to be considered as features approach 40 nm.[7] Hence, new photoresists will need to be developed for sub-40 nm applications.

Double patterning has received interest recently since it can potentially increase the half-pitch resolution by a factor of 2. This could allow the use of immersion lithography tools beyond the 32 nm node, potentially to the 16 nm node. While double patterning improves pitch resolution, it still cannot dodge the need for new photoresists for improved single patterning resolution.

References

  1. ^ M. Switkes et al., J. Vac. Sci. & Tech. B vol. 21, pp. 2794-2799 (2003).
  2. ^ U. Okoroanyanwu et al., "Defectivity in water immersion lithography," Microlithography World, Nov. 2005.
  3. ^ J. C. Taylor et al., SPIE vol. 5376, pp. 34-43 (2004).
  4. ^ A. K. Raub et al., J. Vac. Sci. & Tech. B vol. 22, pp. 3459-3464 (2004).
  5. ^ Presentation by Y. Borodovsky, "Marching to the Beat of Moore's Law,' SPIE Microlithography 2006.
  6. ^ U. Okoroanyanwu and J. H. Lammers, Future Fab International, Issue 17 (2004).
  7. ^ C. Wagner et al., Proc. SPIE vol. 4000, pp. 344-357 (2000).
 
This article is licensed under the GNU Free Documentation License. It uses material from the Wikipedia article "Immersion_lithography". A list of authors is available in Wikipedia.
Your browser is not current. Microsoft Internet Explorer 6.0 does not support some functions on Chemie.DE