My watch list
my.chemeurope.com  
Login  

Nanoimprint lithography



Nanoimprint lithography is a novel method of fabricating nanometer scale patterns. It is a simple process with low cost, high throughput and high resolution. It creates patterns by mechanical deformation of imprint resist and subsequent processes. The imprint resist is typically a monomer or polymer formulation that is cured by heat or UV light during the imprinting. Adhesion between the resist and the template is controlled to allow proper release.

Contents

History

Nanoimprint lithography[1] was first invented by Prof. Stephen Chou and his students. Soon after its invention, a lot of researchers have developed many different variations and implementations. At this point, nanoimprint lithography has been added to the International Technology Roadmap for Semiconductors (ITRS) for the 32 and 22 nm nodes.

Process

There are many different types of Nanoimprint Lithography, but two of them are most important: Thermoplastic Nanoimprint lithography and Photo Nanoimprint Lithography.

Thermoplastic nanoimprint lithography

Thermoplastic Nanoimprint lithography (T-NIL) is the earliest nanoimprint lithography developed by Professor Stephen Y. Chou's group. In a standard T-NIL process, a thin layer of imprint resist (thermoplastic polymer) is spin coated onto the sample substrate. Then the mold, which has predefined topological patterns, is brought into contact with the sample and they are pressed together under certain pressure. When heated up above the glass transition temperature of the polymer, the pattern on the mold is pressed into the melt polymer film. After being cooled down, the mold is separated from the sample and the pattern resist is left on the substrate. A pattern transfer process (Reactive Ion Etching, normally) can be used to transfer the pattern in the resist to the underneath substrate.[1]

Photo nanoimprint lithography

In Photo Nanoimprint Lithography (P-NIL), a photo(UV) curable liquid resist is applied to the sample substrate and the mold is normally made of transparent material like fused silica. After the mold and the substrate are pressed together, the resist is cured in UV light and becomes solid. After mold separation, a similar pattern transfer process can be used to transfer the pattern in resist onto the underneath material.

Electrochemical nanoimprinting

Electrochemical nanoimprinting [2] can be achieved using a stamp made from a superionic conductor such as silver sulfide. When the stamp is contacted with metal, electrochemical etching can be carried out with an applied voltage. The electrochemical reaction generates metal ions which move from the original film into the stamp. Eventually all the metal is removed and the complementary stamp pattern is transferred to the remaining metal.

Schemes

Full wafer nanoimprint

In a full wafer nanoimprint scheme, all the patterns are contained in a single nanoimprint field and will be transferred in a single imprint step. This allows a high throughput and uniformity. An at least 8" diameter full-wafer nanoimprint with high fidelity is possible.

Step and repeat nanoimprint

Nanoimprint can be performed in a way similar to the step and repeat optical lithography. The imprint field (die) is typically much smaller than the full wafer nanoimprint field. The die is repeatedly imprinted to the substrate with certain step size. This scheme is good for nanoimprint mold creation. It is currently limited by the throughput, alignment and street width issues. One example of such scheme is called Step and Flash Imprint Lithography (SFIL), developed by Prof. Grant Willson’s group at the University of Texas at Austin.

Applications

Nanoimprint lithography has been used to fabricate device for electrical, optical, photonic and biological applications. For electronics devices, NIL has been used to fabricate MOSFET, O-TFT, single electron memory. For optics and photonics, intensive study has been conducted in fabrication of subwavelength resonant grating filter, polarizers, waveplate, anti-reflective structures, integrated photonics circuit and plasmontic devices by NIL. sub-10 nm nanofluidic channels had been fabricated using NIL and used in DNA strenching experiment. Currently, NIL is used to shrink the size of biomolecular sorting device an order of magnitude smaller and more efficient.

Benefits

A key benefit of nanoimprint lithography is its sheer simplicity. The single greatest cost associated with chip fabrication is optical lithography tool used to print the circuit patterns. Optical lithography requires high powered excimer lasers and immense stacks of precision ground lens elements to achieve nanometer scale resolution. There is no need for complex optics or high-energy radiation sources with a nanoimprint tool. There is no need for finely tailored photoresists designed for both resolution and sensitivity at a given wavelength. The simplified requirements of the technology lead to its low cost.

Imprint lithography is inherently a three-dimensional patterning process. Imprint molds can be fabricated with multiple layers of topography stacked vertically. Resulting imprints replicate both layers with a single imprint step, which allows chip manufactures to reduce chip fabrication costs and improve product throughput. As mentioned above, the imprint material does not need to be finely tuned for high resolution and sensitivity. A broader range of materials with varying properties are available for use with imprint lithography. The increased material variablily gives chemists the freedom to design new functional materials rather than sacrificial etch resistant polymers.[2] A functional material may be imprinted directly to form a layer in a chip with no need for pattern transfer into underlying materials. The successful implementation of a functional imprint material would result in significant cost reductions and increased throughput by eliminating many difficult chip fabrication processing steps.[3]

Concerns

The key concerns for nanoimprint lithography are overlay, defects, and template patterning. Due to the direct contact involved, the potential for error in overlay and potential for defects are magnified compared to cases where the image is projected from a distance. These can be mitigated with the use of effective step-and-imprint and template cleaning strategies, respectively. The current overlay 3 sigma capability is 10 nm (source). As with immersion lithography, defect control is expected to improve as the technology matures. The template patterning can currently be performed by electron beam lithography or focused ion beam patterning; however at the smallest resolution, the throughput is very slow. As a result, optical patterning tools will be more helpful if they have sufficient resolution. Optical patterning tools are already in use for the manufacturing of photomasks. Contact lithography or interference lithography may also be used. In the end, resolution will not be a critical factor in template generation, as a fine-resolution template (e.g., dense collection of trenches) can be formed using multiple coarse-resolution templates (e.g. a set of loosely spaced protrusions). This would lighten the burden of template generation and inspection.

Removal of residual layers

A key characteristic of nanoimprint lithography (except for electrochemical nanoimprinting) is the residual layer following the imprint process. It is preferable to have thick enough residual layers to support alignment and throughput and low defects[3]. However, this renders the nanoimprint lithography step less critical for critical dimension (CD) control than the etch step used to remove the residual layer. Hence, it is important to consider the residual layer removal an integrated part of the overall nanoimprint patterning process. In a sense, the residual layer etch is similar to the develop process in conventional lithography. It has been proposed to combine contact lithography and nanoimprint lithography techniques in one step in order to eliminate the residual layer[4].

Proximity effects

Nanoimprint lithography relies on displacing polymer. This could lead to systematic effects over long distances. For example, a large, dense array of protrusions will displace significantly more polymer than an isolated protrusion. Depending on the distance of this isolated protrusion from the array, the isolated feature may not imprint correctly due to polymer displacement and thickening. Likewise, wider depressions in the template do not fill up with as much polymer as narrower depressions, resulting in misshapen wide lines. In addition, a depression at the edge of a large array fills up much earlier than one located in the center of the array, resulting in within-array uniformity issues.

3D-patterning

A unique benefit of nanoimprint lithography is the ability to pattern 3D structures, such as damascene interconnects and T-gates, in fewer steps than required for conventional lithography. This is achieved by building the T-shape into the protrusion on the template[5].

The future of nanoimprint

Nanoimprint lithography is a simple pattern transfer process that is neither limited by diffraction nor scattering effects nor secondary electrons, and does not require any sophisticated radiation chemistry. It is also a potentially simple and inexpensive technique. However, a lingering barrier to nanometer-scale patterning is the current reliance on other lithography techniques to generate the template. It is possible that self-assembled structures will provide the ultimate solution for templates of periodic patterns at scales of 10 nm and less[6]. It is also possible to resolve the template generation issue by using a programmable template [7] in a scheme based on double patterning.

As of October 2007, Toshiba is the only company to have validated nanoimprint lithography for 22 nm and beyond.[4] What is more significant is that nanoimprint lithography is the first sub-30 nm lithography to be validated by an industrial user.

References

  1. S.Y. Chou et al., Science 272, 85 (1996)
  2. K. H. Hsu et al., Nano Lett. 7, 446-451 (2007).
  3. S.V. Sreenivasan et al., Semiconductor Fabtech, 25th edition, pp.107-113 (2005).http://www.fabtech.org
  4. X. Cheng and L. J. Guo, Microelectronic Eng. vol. 71, pp. 277-282 (2004).
  5. M. Li et al., Appl. Phys. Lett. vol. 78, pp. 3322-3324 (2001).
  6. E. V. Shevchenko et al., Nature 439, pp. 55-59 (2006).
  7. U. S. Patent 7,128,559.
  1. ^ Ph.D Thesis "Development of Nanoimprint Lithography for Applications in Electronics, Photonics and Life-sciences" by Patrick Carlberg from Lund University, Sweden
  2. ^ Hao, Jianjun; Palmieri, Frank; Stewart, Michael D.; Nishimura, Yukio; Chao, Huang-Lin; Collins, Austin; Willson, C. Grant. Octa(hydridotetramethyldisiloxanyl) silsesquioxane as a synthetic template for patternable dielectric materials. Polymer Preprints (American Chemical Society, Division of Polymer Chemistry) (2006), 47(2), 1158-1159.
  3. ^ Palmieri, Frank; Stewart, Michael D.; Wetzel, Jeff; Hao, Jianjun; Nishimura, Yukio; Jen, Kane; Flannery, Colm; Li, Bin; Chao, Huang-Lin; Young, Soo; Kim, Woon C.; Ho, Paul S.; Willson, C. G. Multi-level step and flash imprint lithography for direct patterning of dielectrics. Proceedings of SPIE-The International Society for Optical Engineering (2006), 6151
  4. ^ M. LaPedus, "Toshiba claims to 'validate' nanoimprint litho," EETimes, October 16, 2007.


 
This article is licensed under the GNU Free Documentation License. It uses material from the Wikipedia article "Nanoimprint_lithography". A list of authors is available in Wikipedia.
Your browser is not current. Microsoft Internet Explorer 6.0 does not support some functions on Chemie.DE