My watch list
my.chemeurope.com  
Login  

Electron beam lithography



Contents

Conventional electron-beam lithography

The practice of using a beam of electrons to generate patterns on a surface is known as Electron beam lithography.[1] The primary advantage of this technique is that it is one of the ways to beat the diffraction limit of light and make features in the sub-micrometre regime. Beam widths may be on the order of nanometers as of the year 2005. This form of lithography has found wide usage in mask-making (for masks used in photolithography), low-volume production of semiconductor components, and research & development. E-beam lithography is not suitable for high-volume manufacturing because of its limited throughput. The beam must be scanned across the surface to be patterned -- pattern generation is serial. This makes for very slow pattern generation compared with a parallel technique like photolithography (the current standard) in which the entire surface is patterned at once (1X optical steppers only, 4 or 5X steppers take proportionally longer). As an example, to pattern a single wafer it would take an electron beam system (w/a fixed gaussian beam) approximately ten hours (Shaped beam vector scan e-beam systems (Aeble 150) can pattern 4" wafers in less than 1 hour); compared to the few minutes it would take with a (1X)photolithography system.

Electron beam lithography systems used in commercial applications use dedicated e-beam writing systems that are very expensive (>$4M USD). For research applications, it is very common to convert an electron microscope into an electron beam lithography system using a relatively low cost accessory (nm since at least 1990, while current dedicated systems have produced linewidths on the order of 10 nm or smaller. These smallest features have generally been isolated features, as nested features exacerbate the proximity effect, whereby electrons from exposure of an adjacent feature spill over into the exposure of the currently written feature, effectively enlarging its image, and reducing its contrast, i.e., difference between maximum and minimum intensity. Hence, nested feature resolution is harder to control. For most resists, it is difficult to go below 25 nm lines and spaces, and a limit of 20 nm lines and spaces has been found[2].

With today's electron optics, electron beam widths can routinely go down to a few nm. This is limited mainly by aberrations and space charge. However, the practical resolution limit is determined not by the beam size but by forward scattering in the photoresist and secondary electron travel in the photoresist[3]. The forward scattering can be decreased by using higher energy electrons or thinner photoresist, but the generation of secondary electrons is inevitable. The travel distance of secondary electrons is not a fundamentally derived physical value, but a statistical parameter often determined from many experiments or Monte Carlo simulations down to < 1 eV. This is necessary since the energy distribution of secondary electrons peaks well below 10 eV[4]. Hence, the resolution limit is not usually cited as a well-fixed number as with an optical diffraction-limited system[3]. Repeatability and control at the practical resolution limit often require considerations not related to image formation, e.g., photoresist development and intermolecular forces.

In addition to secondary electrons, primary electrons from the incident beam with sufficient energy to penetrate the photoresist can be multiply scattered over large distances from underlying films and/or the substrate. This leads to exposure of areas at a significant distance from the desired exposure location. These electrons are called backscattered electrons and have the same effect as long-range flare in optical projection systems. A large enough dose of backscattered electrons can lead to complete removal of photoresist in the desired pattern area.

Electron energy deposition in matter

The primary electrons in the incident beam lose energy upon entering a material through inelastic scattering or collisions with other electrons. In such a collision the momentum transfer from the incident electron to an atomic electron can be expressed as [5] dp = 2e2 / bv, where b is the distance of closest approach between the electrons, and v is the incident electron velocity. The energy transferred by the collision is given by T = (dp)2 / 2m = e4 / Eb2, where m is the electron mass and E is the incident electron energy, given by E = (1 / 2)mv2. By integrating over all values of T between the lowest binding energy, Eo, and the incident energy, one obtains the result that the total cross section for collision is inversely proportional to the incident energy E, and proportional to 1 / Eo − 1 / E. Generally, E > > Eo, so the result is essentially inversely proportional to the binding energy.

By using the same integration approach, but over the range 2Eo to E, one obtains by comparing cross-sections that half of the inelastic collisions of the incident electrons produce electrons with kinetic energy greater than Eo. These secondary electrons are capable of breaking bonds (with binding energy Eo) at some distance away from the original collision. Additionally, they can generate additional, lower energy electrons, resulting in an electron cascade. Hence, it is important to recognize the significant contribution of secondary electrons to the spread of the energy deposition.

New frontiers in electron-beam lithography

To get around the secondary electron generation, it will be imperative to use low-energy electrons as the primary radiation to expose photoresist. Ideally, these electrons should have energies on the order of not much more than several eV in order to expose the photoresist without generating any secondary electrons, since they will not have sufficient excess energy. Such exposure has been demonstrated using a scanning tunneling microscope as the electron beam source[6]. The data suggest that electrons with energies as low as 12 eV can penetrate 50 nm thick polymer photoresist. The drawback to using low energy electrons is that it is hard to prevent spreading of the electron beam in the photoresist[7]. Low energy electron optical systems are also hard to design for high resolution[8]. Coulomb inter-electron repulsion always becomes more severe for lower electron energy.

Another alternative in electron-beam lithography is to use extremely high electron energies (at least 100 keV) to essentially "drill" or sputter the material. This phenomenon has been observed frequently in transmission electron microscopy[9]. However, this is a very inefficient process, due to the inefficient transfer of momentum from the electron beam to the material. As a result it is a slow process, requiring much longer exposure times than conventional electron beam lithography. Also high energy beams always bring up the concern of substrate damage.

Interference lithography using electron beams is another possible path for patterning arrays with nanometer-scale periods. A key advantage of using electrons over photons in interferometry is the much shorter wavelength for the same energy.

Despite the various intricacies and subtleties of electron beam lithography at different energies, it remains the most practical way to concentrate the most energy into the smallest area.

See also

Photolithography

References

  1. ^ McCord, M. A.; M. J. Rooks (2000). "2", SPIE Handbook of Microlithography, Micromachining and Microfabrication. 
  2. ^ J. A. Liddle et al. (2003). "unknown title". Mat. Res. Soc. Symp. Proc. 739 (19): 19-30.
  3. ^ a b A. N. Broers et al. (1996). "unknown title". Microelectronic Engineering 32: 131-142.
  4. ^ H. Seiler (1983). "unknown title". J. Appl. Phys. 54: R1-R18.
  5. ^ L. Feldman and J. Mayer (1986). "unknown title". Fundamentals of Surface and Thin Film Analysis 54: 130-133.
  6. ^ C. R. K. Marrian et al. (1992). "unknown title". J. Vac. Sci. Tech. 10 (B): 2877-2881.
  7. ^ T. M. Mayer et al. (1996). "unknown title". J. Vac. Sci. Tech. 14 (B): 2438-2444.
  8. ^ L. S. Hordon et al. (1993). "unknown title". J. Vac. Sci. Tech. 11 (B): 2299-2303.
  9. ^ R. F. Egerton et al. (2004). "unknown title". Micron 35: 399-409.


 
This article is licensed under the GNU Free Documentation License. It uses material from the Wikipedia article "Electron_beam_lithography". A list of authors is available in Wikipedia.
Your browser is not current. Microsoft Internet Explorer 6.0 does not support some functions on Chemie.DE