My watch list
my.chemeurope.com  
Login  

X-ray lithography



  X-ray lithography is a next generation lithography that has been developed for the semiconductor industry[1]. Batches of microprocessors have already been produced.

The short wavelengths of 0.8 nm X-rays overcome diffraction limits in the resolution of otherwise competitive optical lithography. The X-rays illuminate a mask placed in proximity to a resist-coated wafer. No lenses are used, and only rudimentary collimating mirrors. The X-rays are broadband, typically from a compact synchrotron radiation source, allowing rapid exposure.

Deep X-ray lithography uses yet shorter wavelengths, about 0.1 nm with modified procedures, to fabricate deeper structures, sometimes three dimensional, with reduced resolution.

The mask consists of an X-ray absorber, typically of gold or compounds of tantalum or tungsten, on a membrane that is transparent to X-rays, typically of silicon carbide or diamond. The pattern on the mask is written by direct write electron beam lithography onto a resist that is developed by conventional semiconductor processes. The membrane can be stretched for overlay accuracy.

Most X-ray lithography demonstrations have been performed by copying with image fidelity, i.e. without magnification, 1x, on the line of fuzzy contrast as illustrated in the figure. But with the increasing need for high resolution, X-ray lithography is now performed on the Sweet Spot, using local “demagnification by bias [2][3].” Dense structures are developed by multiple exposures with translation. Many advantages accrue from the application of 3x “demagnification": the mask is more easily fabricated; the mask to wafer gap is increased; and the contrast is higher. The technique is extensible to dense 15 nm prints. The resulting printing has high contrast.

X-rays generate secondary electrons as in the cases of extreme ultraviolet lithography and electron beam lithography. While the fine pattern definition is due principally to secondaries from Auger electrons with a short path length, the primary electrons will sensitize the resist over a larger region than the X-ray exposure. While this does not affect the pattern pitch resolution (determined by wavelength and gap), the image exposure contrast (maxmin) / (max + min) is reduced since the pitch is on the order of the primary photo-electron range. Several prints at about 20 nm have been published[4].

Another manifestation of the photoelectron effect is exposure to X-ray generated electrons from thick gold films used for making daughter masks[5]. Simulations suggest that photoelectron generation from the gold substrate may affect dissolution rates.

Notes

  1. ^  Y. Vladimirsky, "Lithography" in Vacuum Ultraviolet Spectroscopy II Eds. J.A.Samson and D.L.Ederer, Ch 10 pp 205-223, Academic Press (1998).
  2. ^  Y.Vladimirsky, A.J.Bourdillon, O.Vladimirsky, W.Jiang and Q.Leonard, Journal of Applied Physics D, vol. 32 L114-L118 (1999)
  3. ^ Antony Bourdillon and Yuli Vladimirsky, X-ray Lithography on the Sweet Spot, UHRL, San Jose, (2006)ISBN 978-0-9789839-0-1
  4. ^  K.Early, M.L.Schattenberg and H.I.Smith, Absence of Resolution Degradation in X-ray Lithography, Microelectronic Engineering vol. 11, pp 317-321 (1990)
  5. ^  D. J. D. Carter, A. Pepin, M. R. Schweizer and H. I. Smith, Direct measurement of the effect of substrate photoelectrons in X-ray nanolithography, J. Vac. Sci. Tech. B. vol. 15, pp. 2509-2513 (1997)


 
This article is licensed under the GNU Free Documentation License. It uses material from the Wikipedia article "X-ray_lithography". A list of authors is available in Wikipedia.
Your browser is not current. Microsoft Internet Explorer 6.0 does not support some functions on Chemie.DE