My watch list
my.chemeurope.com  
Login  

Microelectromechanical systems



 

Microelectromechanical systems (MEMS) is the technology of the very small, and merges at the nano-scale into nanoelectromechanical systems (NEMS) and Nanotechnology. MEMS are also referred to as micromachines (in Japan), or Micro Systems Technology - MST (in Europe). MEMS are separate and distinct from the hypothetical vision of Molecular nanotechnology or Molecular Electronics. MEMS generally range in size from a micrometer (a millionth of a meter) to a millimeter (thousandth of a meter). At these size scales, the standard constructs of classical physics do not always hold true. Due to MEMS' large surface area to volume ratio, surface effects such as electrostatics and wetting dominate volume effects such as inertia or thermal mass. Finite element analysis is an important part of MEMS design. The sensor technology made significant progress due to MEMS. Complexity and performance of advanced MEMS based sensors are described by different MEMS sensor generations.

The potential of very small machines was appreciated long before the technology existed that could make them—see, for example, Feynmann's famous 1959 lecture There's Plenty of Room at the Bottom. MEMS became practical once they could be fabricated using modified semiconductor fabrication technologies, normally used to make electronics. These include molding and plating, wet etching (KOH, TMAH) and dry etching (RIE and DRIE), electro discharge machining (EDM), and other technologies capable of manufacturing very small devices.

Companies with strong MEMS programs come in many sizes. The larger firms specialize in manufacturing high volume inexpensive components or packaged solutions for end markets such as automobiles, biomedical, and electronics. The successful small firms provide value in innovative solutions and absorb the expense of custom fabrication with high sales margins. In addition, both large and small companies work in R&D to explore MEMS technology.


One of the greatest problems of autonomous MEMS is the absence of micro power sources with high densities of energy, power and electrical capacity.

Contents

MEMS description

Developments in the field of semiconductors are leading to integrated circuits with three-dimensional features and even moving parts. Such devices, called MicroElectroMechanical Systems (MEMS), can resolve many problems that a microprocessor plus software or hardwired ASIC(Application Specific Integrated Chip) cannot.MEMS technology can be implemented using a number of different materials and manufacturing techniques; the choice of which will depend on the device being created and the market sector in which it has to operate.

Silicon

Silicon is the material used to create most integrated circuits used in consumer electronics in the modern world. The economies of scale, ready availability of cheap high-quality materials and ability to incorporate electronic functionality make silicon attractive for a wide variety of MEMS applications. Silicon also has significant advantages engendered through its material properties. In single crystal form, silicon is an almost perfect Hookean material, meaning that when it is flexed there is virtually no hysteresis and hence almost no energy dissipation. As well as making for highly repeatable motion, this also makes silicon very reliable as it suffers very little fatigue and can have service lifetimes in the range of billions to trillions of cycles without breaking. The basic techniques for producing all silicon based MEMS devices are deposition of material layers, patterning of these layers by photolithography and then etching to produce the required shapes.

Polymers

Even though the electronics industry provides an economy of scale for the silicon industry, crystalline silicon is still a complex and relatively expensive material to produce. Polymers on the other hand can be produced in huge volumes, with a great variety of material characteristics. MEMS devices can be made from polymers by processes such as injection moulding, embossing or stereolithography and are especially well suited to microfluidic applications such as disposable blood testing cartridges.

Metals

Metals can also be used to create MEMS elements. While metals do not have some of the advantages displayed by silicon in terms of mechanical properties, when used within their limitations, metals can exhibit very high degrees of reliability.

Metals can be deposited by electroplating, evaporation, and sputtering processes.

Commonly used metals include Gold, Nickel, Aluminum, Chromium, Titanium, Tungsten, Platinum and Silver.

MEMS processes

Deposition processes

One of the basic building blocks in MEMS processing is the ability to deposit thin films of material. In this text we assume a thin film to have a thickness anywhere between a few nanometers to about 100 micrometers. Commonly used deposition processes are: Electroplating, Sputter deposition, Physical Vapour Deposition (PVD) and Chemical Vapour Deposition (CVD). The Chemical Vapor Deposition Process is a very intricate process which takes place in several steps.

Photolithography

Main article: Photolithography

Lithography in MEMS context is typically the transfer of a pattern to a photosensitive material by selective exposure to a radiation source such as light. A photosensitive material is a material that experiences a change in its physical properties when exposed to a radiation source. If we selectively expose a photosensitive material to radiation (e.g. by masking some of the radiation) the pattern of the radiation on the material is transferred to the material exposed, as the properties of the exposed and unexposed regions differs.

This exposed region can then be removed or treated providing a mask for the underlying substrate. Photolithography is typically used with metal or other thin film deposition, wet and dry etching.

Etching processes

There are two basic categories of etching processes: wet and dry etching. In the former, the material is dissolved when immersed in a chemical solution. In the latter, the material is sputtered or dissolved using reactive ions or a vapor phase etchant. See Williams and Muller[1] or Kovacs, Maluf and Peterson[2] for a somewhat dated overview of MEMS etching technologies.

Wet etching

Main article: Wet etching

Wet chemical etching consists in a selective removal of material by dipping a substrate into a solution that can dissolve it. Due to the chemical nature of this etching process, a good selectivity can often be obtained, which means that the etching rate of the target material is considerably higher than that of the mask material if selected carefully.

Some single crystal materials, such as silicon, will have different etching rates depending on the crystallographic orientation of the substrate. This is known as anisotropic etching and one of the most common examples is the etching of silicon in KOH (potassium hydroxide), where Si <111> planes etch approximately 100 times slower than other planes (crystallographic orientations). Therefore, etching a rectangular hole in a (100)-Si wafer will result in a pyramid shaped etch pit with 54.7° walls, instead of a hole with curved sidewalls as it would be the case for isotropic etching, where etching progresses at the same speed in all directions. Long and narrow holes in a mask will produce v-shaped grooves in the silicon. The surface of these grooves can be atomically smooth if the etch is carried out correctly, with dimensions and angles being extremely accurate.

Electrochemical etching (ECE) for dopant-selective removal of silicon is a common method to automate and to selective control etching. An active p-n diode junction is required, and either type of dopant can be the etch-resistant ("etch-stop") material. Boron is the most common etch-stop dopant. In combination with wet anisotropic etching as described above, ECE has been used successfully for controlling silicon diaphragm thickness in commercial piezoresistive silicon pressure sensors. Selectively doped regions can be created either by implantation, diffusion, or epitaxial deposition of silicon.

Reactive ion etching (RIE)

Main article: Reactive ion etching

In reactive ion etching (RIE), the substrate is placed inside a reactor in which several gases are introduced. A plasma is struck in the gas mixture using an RF power source, breaking the gas molecules into ions. The ions are accelerated towards, and reacts at, the surface of the material being etched, forming another gaseous material. This is known as the chemical part of reactive ion etching. There is also a physical part which is similar in nature to the sputtering deposition process. If the ions have high enough energy, they can knock atoms out of the material to be etched without a chemical reaction. It is a very complex task to develop dry etch processes that balance chemical and physical etching, since there are many parameters to adjust. By changing the balance it is possible to influence the anisotropy of the etching, since the chemical part is isotropic and the physical part highly anisotropic the combination can form sidewalls that have shapes from rounded to vertical.

Deep reactive ion etching (DRIE)

Main article: Deep reactive ion etching

A special subclass of RIE which continues to grow rapidly in popularity is deep RIE (DRIE). In this process, etch depths of hundreds of micrometres can be achieved with almost vertical sidewalls. The primary technology is based on the so-called "Bosch process"[3], named after the German company Robert Bosch which filed the original patent, where two different gas compositions are alternated in the reactor. Currently there are two variations of the DRIE. The first variation consists of three distinct steps (the Bosch Process as used in the UNAXIS tool) while the second variation only consists of two steps (ASE used in the STS tool). In the 1st Variation, the etch cycle is as follows: (i) SF6 isotropic etch; (ii) C4F8 passivation; (iii) SF6 anisoptropic etch for floor cleaning. In the 2nd variation, steps (i) and (iii) are combined.

Both variations operate similarly. The C4F8 creates a polymer on the surface of the substrate, and the second gas composition (SF6 and O2) etches the substrate. The polymer is immediately sputtered away by the physical part of the etching, but only on the horizontal surfaces and not the sidewalls. Since the polymer only dissolves very slowly in the chemical part of the etching, it builds up on the sidewalls and protects them from etching. As a result, etching aspect ratios of 50 to 1 can be achieved. The process can easily be used to etch completely through a silicon substrate, and etch rates are 3-4 times higher than wet etching.

Xenon difluoride etching

Xenon difluoride (XeF2) is a dry vapor phase isotropic etch for silicon originally applied for MEMS in 1995 at University of California, Los Angeles[4][5]. Primarily used for releasing metal and dielectric structures by undercutting silicon, XeF2 has the advantage of a stiction-free release unlike wet etchants. Its etch selectivity to silicon is very high, allowing it to work with photoresist, SiO2, silicon nitride, and various metals for masking. Its reaction to silicon is "plasmaless", is purely chemical and spontaneous and is often operated in pulsed mode. Models of the etching action are available[6], and university laboratories and various commercial tools offer solutions using this approach.

Silicon MEMS paradigms

Bulk micromachining

Main article: Bulk micromachining

Bulk micromachining is the oldest paradigm of silicon based MEMS. The whole thickness of a silicon wafer is used for building the micro-mechanical structures.[2] Silicon is machined using various etching processes. Anodic bonding of glass plates or additional silicon wafers is used for adding features in the third dimension and for hermetic encapsulation. Bulk micromachining has been essential in enabling high performance pressure sensors and accelerometers that have changed the shape of the sensor industry in the 80's and 90's.

Surface micromachining

Surface micromachining uses layers deposited on the surface of a substrate as the structural materials, rather than using the substrate itself.[7] Surface micromachining was created in the late 80's to render micromachining of silicon more compatible with planar integrated circuit technology, with the goal of combining MEMS and integrated circuits on the same silicon wafer. The original surface micromachining concept was based on thin polycrystalline silicon layers patterned as movable mechanical structures and released by sacrificial etching of the underlaying oxide layer. Interdigital comb electrodes were used to produce in-plane forces and to detect in-plane movement capacitively. This MEMS paradigm has enabled the manufacturing of low cost accelerometers for e.g. automotive air-bag systems and other applications where low performance and/ or high g-ranges are sufficient. Analog Devices have pioneered the industrialization of surface micromachining and have realized the co-integration of MEMS and integrated circuits.

High aspect ratio (HAR) micromachining

Both bulk and surface micromachining are still used in industrial production of sensors, ink-jet nozzles and other devices. But in many cases the distinction between these two has diminished. New etching technology, deep reactive ion etching has made it possible to combine good performance typical to bulk micromachining with comb structures and in-plane operation typical to surface micromachining. While it is common in surface micromachining to have structural layer thickness in the range of 2 µm, in HAR micromachining the thickness is from 10 to 100 µm. The materials commonly used in HAR micromachining are thick polycrystalline silicon, known as epi-poly, and bonded silicon-on-insulator (SOI) wafers although processes for bulk silicon wafer also have been created (SCREAM). Bonding a second wafer by glass frit bonding, anodic bonding or alloy bonding is used to protect the MEMS structures. Integrated circuits are typically not combined with HAR micromachining. The consensus of the industry at the moment seems to be that the flexibility and reduced process complexity obtained by having the two functions separated far outweighs the small penalty in packaging.

Applications

Common applications include:

  • Inkjet printers, which use piezoelectrics or thermal bubble ejection to deposit ink on paper.
  • Accelerometers in modern cars for a large number of purposes including airbag deployment in collisions.
  • Accelerometers in consumer electronics devices such as game controllers (Nintendo Wii), personal media players / cell phones (Apple iPhone )[8] and a number of Digital Cameras (various Canon Digital IXUS models). Also used in PCs to park the hard disk head when free-fall is detected, to prevent damage and data loss.
  • MEMS gyroscopes used in modern cars and other applications to detect yaw; e.g. to deploy a roll over bar or trigger dynamic stability control.
  • Silicon pressure sensors e.g. car tire pressure sensors, and disposable blood pressure sensors.
  • Displays e.g the DMD chip in a projector based on DLP technology has on its surface several hundred thousand micromirrors.
  • Optical switching technology which is used for switching technology and alignment for data communications.
  • Bio-MEMS applications in medical and health related technologies from Lab-On-Chip to MicroTotalAnalysis (biosensor, chemosensor).
  • IMOD applications in consumer electronics (primarily displays for mobile devices). Used to create interferometric modulation - reflective display technology.

MEMS Research and Developments

Researchers in MEMS use various engineering software tools to take a design from concept to simulation, prototyping and testing. Simulation of dynamics, heat, and electrical domains, among others, can be performed by ANSYS and COMSOL. Other software, such as MEMS-PRO, is used to produce a design layout suitable for delivery to a fabrication firm. Once prototypes are on-hand, researchers can test the specimens using various instruments, including laser doppler scanning vibrometers, microscopes, and stroboscopes.

See also

  • NEMS, Nanoelectromechanical systems are similar to MEMS but smaller
  • MOEMS, Micro Opto-Electrical-Mechanical Systems, MEMS including optical elements
  • Micropower Hydrogen generators, gas turbines, and electrical generators made of etched silicon
  • IBM Millipede, a MEMS technology for non-volatile data storage of more than a terabit per square inch
  • Freescale Semiconductor a high-volume supplier of accelerometer and pressure sensors for over 25 years
  • STMicroelectronics for 2D and 3D accelerometers
  • Systron Donner Inertial(SDI) an early leader in quartz-based MEMS gyroscopes.
  • Texas Instruments pioneers of the DMD chip
  • Sensirion http://www.sensirion.com/ pioneered digital MEMS sensors based on CMOS technology
  • ADI one of the major early players in accelerometer development
  • Lucent who developed highly advanced optical telecommunications switches
  • Cantilever one of the most common forms of MEMS.
  • MEMS Thermal Actuator MEMS actuation created by thermal expansion
  • Electrostatic motors used where coils are difficult to fabricate
  • PolyTec http://www.polytec.com/default.asp Makers of Laser and light-based scanning and profiling equipment
  • Sonion http://www.sonion.com/ Manufacturers of MEMS microphones
  • IMOD http://www.qualcomm.com/qmt Manufacturers of MEMS displays
  • Alcatel Micro Machining Systems Manufacturers of DRIE systems
  • Applied MicroStructures http://www.appliedmst.com/ MEMS yield and lifetime improvement using vapor-deposited anti-stiction coatings

References

  1. ^ Williams, K.R. Muller, R.S., 1996, Etch rates for micromachining processing , Journal of Microelectromechanical Systems, Volume: 5, Issue: 4, Dec 1996, pp. 256-269
  2. ^ a b Kovacs, G.T.A.; Maluf, N.I.; Petersen, K.E., Bulk micromachining of silicon , Proceedings of the IEEE Volume 86, Issue 8, Aug. 1998 Page(s):1536 - 1551.
  3. ^ Laermer, F.; Urban, A.;, Milestones in deep reactive ion etching , TRANSDUCERS '05. The 13th International Conference on Solid-State Sensors, Actuators and Microsystems, 2005. Volume 2, June 2005, pp. 1118 - 1121.
  4. ^ Chang, F.I. and Yeh, R. and Lin, G. and Chu, P.B. and Hoffman, E. and Kruglick, E.J.J. and Pister, K.S.J. and Hecht, M.H., Gas-phase silicon micromachining with xenon difluoride, Proc. SPIE Microelectronic Structures and Microelectromechanical Devices for Optical Processing and Multimedia Applications, 1995, pp. 117-128.
  5. ^ Chang, Floy I-Jung. 1995. Xenon difluoride etching of silicon for MEMS. Thesis (M.S.)--University of California, Los Angeles, 1995.
  6. ^ Brazzle, J.D.; Dokmeci, M.R.; Mastrangelo, C.H.; Modeling and characterization of sacrificial polysilicon etching using vapor-phase xenon difluoride , 17th IEEE International Conference on Micro Electro Mechanical Systems (MEMS), 2004, pages 737-740.
  7. ^ J. M. Bustillo, R. T. Howe, and R. S. Muller, "Surface micromachining for microelectromechanical systems," Proceedings of the IEEE, vol. 86, pp. 1552-1574, 1998.
  8. ^ Johnson, R. Collin. There's more to MEMS than meets the iPhone, EE Times, (2007-07-09). Retrieved on 2007-07-10.
 
This article is licensed under the GNU Free Documentation License. It uses material from the Wikipedia article "Microelectromechanical_systems". A list of authors is available in Wikipedia.
Your browser is not current. Microsoft Internet Explorer 6.0 does not support some functions on Chemie.DE